2013 m. sausio 11 d., penktadienis

5.1. Procesorius K5


K5 kūrimas prasidėjo dar tada, kai apie Pentium’ą nebuvo žinoma jokių smulkmenų. AMD inžinieriams teko patiems sukurti visiškai naują mikroarchitektūrą, kuriai turėjo   tikti x86  šeimos programinė įranga.
K5 - tai pirmasis AMD procesorius, sukurtas nesinaudojant jokia Intel turima informacija (išskyrus mikrokodą x86), ir pirmasis, siūlantis didesnį produktyvumą, nei Intel procesoriai. Kaip teigia AMD, tokios programos, kaip Microsoft Excel, Microsof Word ar Corel Draw su mikroprocesoriumi K5 dirba 30% sparčiau, negu su tokio pat taktinio dažnio Pentium.
31
5.1 pav. Procesoriaus K5 superskaliarinis mechanizmas

Toks produktyvumas pasiektas dėl padidintos priešatmintinės ir progresyvesnės superskalirinės architektūros. Vietoje Pentium naudojamų sudvejintų konvejerių sveikų skaičių apdorojimui, K5 mikroprocesoriuje naudojama superskaliarinė architektūra su keturgubu komandų pateikimu, penkiais apdorojimo blokais ir RISC branduoliu su unikaliu x86 komandų dekoderiu.
Kaip žinoma, x86 komandos būna įvairaus sudėtingumo ir ilgio, dėl to dinamiškas jų vykdymas yra gana sudėtingas. Dekoderis, sudėtingiausia procesoriaus dalis, suskirsto ilgas CISC instrukcijas į nedidelius, panašius į RISC, elementus, taip vadinamus ROP (RISC operacijas). RISC operacijos panašios į mikroprocesorių x86 mikrokodo komandas. Pirmieji x86 architektūros procesoriai vykdė savo sudėtingus mikrokomandų rinkinius, ieškodami mikrokodo savo pastoviojoje vidinėje atmintyje. Paskutiniai x86 procesoriai mikrokodo beveik nebenaudojo, tai buvo pasiekta pradedant naudoti paprastas komandas. K5 mikroprocesoriuje naudojamas kitoks metodas: čia daugumą RISC operacijų dinamiškai generuojama ne mikrokodo, o dekoderio pagalba.
Tačiau mikrokodas vis dar naudojamas apdorojant sudėtingas ir retai naudojamas x86 komandas, tokias kaip operacijos su eilutėmis ir veiksmai su kompleksiniais skaičiais. Tačiau netgi ir šiais atvejais generuojamas RISC operacijų srautas. K5 gali konvertuoti x68 komandą į 1-4 RISC operacijas. Šių operacijų atlikimas planuojamas branduolyje, kuriame daug kas yra pasiskolinta iš RISC architektūros. Mikroprocesoriuje K5 panaudota hibridinė CISC/RISC technologija. Kad įgyvendinti dinaminį duomenų apdorojimą, naudojami tokie metodai: dinaminis registrų pervadinimas, nukreipimų spėjimas, vykdymo eiliškumo keitimas ir kiti.
Svarbi K5 ypatybė yra ta, kad vietoje dviejų lygiagrečių konvejerių operacijoms su sveikais skaičiais atlikti, jame yra 5 lygiagrečiai dirbantys blokai. Kaip ir Pentium, jis gali lygiagrečiai vykdyti dvi operacijas su sveikais skaičiais. Tačiau, skirtingai negu Pentium, K5 mikroprocesoriuje tuo pačiu metu taip pat gali būti vykdomos skaitymo/rašymo, nukreipimo instrukcijos, ar instrukcijos su slankiu kableliu. Didelis registrų kiekis ir skaitymo/rašymo blokas leidžia vieno išrinkimo iš atmintinės ciklo metu įvykdyti dvi operacijas. Dar vienas požymis, kuriuo K5 skiriasi nuo Pentium - K5 gali keisti atliekamų operacijų eiliškumą.
Operacijų su slankiu kableliu blokas (FPU) atitinka x86 standartus, tačiau yra šiek tiek lėtesnis, nei procesoriaus Pentium analogiškas blokas. Tačiau reikėtų pažymėti, kad šios operacijos personalinių kompiuterių programinei įrangai nėra labai reikšmingos ar svarbios (programinės įrangos, naudojančios operaciją su slankiu kableliu, yra nykstamai mažai).

Komentarų nėra:

Rašyti komentarą

Tinklaraščio archyvas

Etiketės